|
21. |
Enhanced dry etching of silicon with deuterium plasma |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 707-709
Hiroaki Iwakuro,
Tsukasa Kuroda,
Dian‐Hong Shen,
Zhangda Lin,
Preview
|
PDF (82KB)
|
|
摘要:
The etching of Si and SiO2for H2and D2plasma exposure has been investigated. The Si is etched rapidly by a factor of 34 for D2plasma exposure compared with H2plasma exposure. On the other hand, the etching rate of SiO2does not change. This suggests a possibility of dry etching of Si with D2gas.
ISSN:0734-211X
DOI:10.1116/1.589160
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
22. |
SiO2to Si selectivity mechanisms in high density fluorocarbon plasma etching |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 710-715
K. H. R. Kirmse,
A. E. Wendt,
S. B. Disch,
J. Z. Wu,
I. C. Abraham,
J. A. Meyer,
R. A. Breun,
R. Claude Woods,
Preview
|
PDF (116KB)
|
|
摘要:
This study examines the influence of plasma chemistry on SiO2to Si etch selectivity in high density C2H2F4(1,1,1,2‐tetrafluoroethane)/O 2and CHF3/H2discharges. Etch rate measurements of Si and SiO2have been combined with chemical characterizations of the discharge using optical diagnostics and an in‐line quadrupole mass spectrometer. The gas phase concentrations of CF2and F as well as the mass spectrum of ions incident at the substrate have been measured for conditions producing SiO2/Si selectivities from 1 to over 30. For low density sources with high neutral to ion fluxes, the conventional theory is that selectivity is governed by the relative content of fluorine versus carbon in the fluorine and fluorocarbon radicals incident on the substrate. However, for the high density plasma discharges studied, the conventional theory may apply only when the contributions of both neutral radicals and fluorocarbon ions, CF+x, are considered. For the case of oxygen addition to C2H2F4discharges, reactions between the fluorocarbon surface film and oxygen in the gas phase may also be important in controlling selectivity.
ISSN:0734-211X
DOI:10.1116/1.588702
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
23. |
MxP+: A new dielectric etcher with enabling technology, high productivity, and low cost‐of‐consumables |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 716-723
Hongching Shan,
Evans Lee,
Michael Welch,
Bryan Pu,
James Carducci,
Kuang‐Han Ke,
Hua Gao,
Paul Luscher,
Gerard Crean,
Rynn Wang,
Richard Blume,
James Cooper,
Robert Wu,
Preview
|
PDF (433KB)
|
|
摘要:
Dielectric etch accounts for more than half of all the dry etches used in integrated circuit (IC) fabrication, and plays a very important role in fulfilling strict requirements of volume‐manufacturing of IC circuits whose feature size is progressively decreasing. The challenge of meeting volume manufacture requirements is what MxP+ has achieved through a series of hardware and process innovations. By Pareto analysis of the wet clean time of the MxP chamber, we were able to define six major drivers to address three key issues: (1) reduce wet clean time, (2) eliminate system complexity, and (3) achieve technical excellence. Key components of the MxP+ that allow us to address them include a quartz gas distribution plate which prevents the aluminum particle formation, and the electrostatic chuck which eliminates the mechanical clamp system while reducing the particle contamination and wafer edge exclusion. The unique chamber liners of the MxP+ not only shield chamber walls, but also provided a wide process window. Process characterizations have been done for contact, via, nitride, mask open and self‐aligned contact etches, and results show that the etcher is capable of etching dielectric films of 0.35 μm features of either high or low aspect ratios. Extended runs proved the process window of the chamber was very wide, the stability and the uniformity of the process were superior, and particle addition was very low. The etch rates of BPSG and TEOS are about 7500 and 4700 Å/m, respectively, when running at 1000 W on MxP+, which is about 30% to 40% higher than that of MxP. Also, through hardware and process innovations, no periodic dry cleans are needed for the MxP+ to maintain excellent particle performance. Furthermore, the cost‐of‐consumables is dramatically reduced and the new design of process kits extends its lifetime by a factor of 2 for a much reduced cost.
ISSN:0734-211X
DOI:10.1116/1.588703
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
24. |
Effect of fluorine concentration on the etch characteristics of fluorinated tetraethylorthosilicate films |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 724-726
Lynn R. Allen,
Preview
|
PDF (99KB)
|
|
摘要:
Fluorinated silicon dioxide films have generated much interest as potential low dielectric constant materials for use in back end interconnect technologies in microelectronics manufacturing. A recent article from this group reported on the reliability and stability of fluorinated oxide films. These films were prepared in a conventional parallel plate dual frequency plasma‐enhanced chemical‐vapor deposition reactor. The concentration of the fluorine in the film was varied by changing the deposition parameters. The highest fluorine concentration obtained was 15% in that study. The fluorine included in the film is expected to affect the results obtained during plasma etch of the film. The effect of the incorporated fluorine on the etch rate of the film and microloading and the profile of contact structures are examined.
ISSN:0734-211X
DOI:10.1116/1.588704
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
25. |
Effects of native oxide removal from silicon substrate and annealing on SiO2films deposited at 120 °C by plasma enhanced chemical vapor deposition using disilane and nitrous oxide |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 727-731
Juho Song,
P. K. Ajmera,
G. S. Lee,
Preview
|
PDF (97KB)
|
|
摘要:
The effects of native oxide removal from the Si substrate surface and annealing on SiO2films deposited by plasma enhanced chemical vapor deposition at 120 °C using Si2H6and N2O are investigated. The effective oxide charge and the interface trap densities for the as‐deposited films with the native oxide etched off the substrate surface prior to the film deposition were nearly five times higher than for the as‐deposited films without the removal of the native oxide. Postdeposition annealing in N2reduced the effective oxide charges for the films deposited on substrates without the native oxide. However, a 30 min postmetallization anneal at 400 °C in 5% H2in N2ambient reduced both the effective oxide charge density and the interface trap density much more effectively. The values for both these charge densities and the dielectric breakdown field were comparable after this postmetallization annealing regardless of the substrate cleaning procedure and the postdeposition annealing cycle in N2.
ISSN:0734-211X
DOI:10.1116/1.588705
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
26. |
Inductively coupled plasma for polymer etching of 200 mm wafers |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 732-737
N. Forgotson,
V. Khemka,
J. Hopwood,
Preview
|
PDF (114KB)
|
|
摘要:
An inductively coupled plasma etcher has been developed which uses a low aspect ratio, helical coil placed atop a planar vacuum window. The geometry of the inductive coupling element results in strongly peaked radio frequency power absorption around the periphery of the plasma. In addition, capacitive coupling and sputtered contaminants are significantly reduced by electrically grounding the turn of the inductor which is adjacent to the vacuum window. The radially resolved ion saturation current indicates that, in spite of the locally intense induction fields, the uniformity is only marginally better than expected from a discharge with a uniform ionization frequency. This is due to the nonlocal nature of the electron energy distribution. Etching of photoresist from 200 mm wafers using O2is shown to be 2.5% uniform for operating conditions of 5 mTorr, 50 sccm, and 500 W radio frequency induction power.
ISSN:0734-211X
DOI:10.1116/1.588706
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
27. |
Investigation of low temperature SiO2plasma enhanced chemical vapor deposition |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 738-743
Shashank C. Deshmukh,
Eray S. Aydil,
Preview
|
PDF (133KB)
|
|
摘要:
Low temperature (<270 °C) plasma enhanced chemical vapor deposition (PECVD) of SiO2thin films using tetraethylorthosilicate (TEOS) and O2plasma was investigated. Depositions were carried out in a PECVD reactor with a helical resonator discharge source. Transmission infrared spectroscopy, spectroscopic ellipsometry, and wet etch rate measurements were used to characterize the deposited films as a function of rf power, gas composition, and substrate temperature. Most pronounced effects were observed when the substrate temperature and TEOS:O2flow ratio ℜR were varied. Good quality SiO2films can be obtained at high temperature and/or low ℜR. For R≳0.1, while the deposition rate was weakly dependent on temperature between 260 and 100 °C, it increased almost by a factor of 2 between 100 and 45 °C. This is also accompanied by drastic changes in film properties such as refractive index, increase in OH and –OC2H5content, and decrease in film density. Studies usinginsituattenuated total reflection Fourier transform infrared (ATR FTIR) spectroscopy indicated that stable good quality SiO2films without any SiOH at higher temperature (250 °C) and with very little SiOH at room temperature could be deposited using very low R. Based on the understanding provided by ATR FTIR, films with properties approaching to those of thermal oxide have been deposited at room temperature.
ISSN:0734-211X
DOI:10.1116/1.588707
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
28. |
Real time investigation of nucleation and growth of silicon on silicon dioxide using silane and disilane in a rapid thermal processing system |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 744-750
Y. Z. Hu,
D. J. Diehl,
C. Y. Zhao,
C. L. Wang,
Q. Liu,
E. A. Irene,
K. N. Christensen,
D. Venable,
D. M. Maher,
Preview
|
PDF (559KB)
|
|
摘要:
The kinetics of the nucleation and growth of Si films on amorphous SiO2‐covered Si using rapid thermal chemical vapor deposition from SiH4and Si2H6(5% in He) were compared at temperatures between 600 and 800 °C and reactant gas pressures between 1 and 25 mTorr. Quantitative assessment of the nucleation parameters and the structures of the deposited Si films have been determined usinginsitureal time single wavelength and spectroscopic ellipsometry. In addition to ellipsometry, atomic force microscopy, scanning electron microscopy, and cross‐sectional transmission electron microscopy were usedexsituto observe the nucleation stage and the microstructures of the films. This study compares the initial growth parameters for SiH4: nuclei density (6×108cm−2), nuclei size (94 nm), incubation time (4.2 min), and degree of selectivity (42 nm) with those for Si2H6: 1.3×1010cm−2, 31 nm, 0.4 min, and 10 nm, respectively. The incubation times for SiH4and Si2H6are different, as is the degree of selectivity, but they show similar activation energies of about 1 eV in the 600–800 °C range. The Si film quality in terms of surface roughness and grain structure was better for the Si film derived from Si2H6than from SiH4.
ISSN:0734-211X
DOI:10.1116/1.588708
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
29. |
Rugged surface polycrystalline silicon film deposition and its application in a stacked dynamic random access memory capacitor electrode |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 751-756
M. Ino,
J. Miyano,
H. Kurogi,
H. Tamura,
Y. Nagatomo,
M. Yoshimaru,
Preview
|
PDF (653KB)
|
|
摘要:
Rugged surface polycrystalline silicon (poly‐Si) films deposited by low‐pressure chemical vapor deposition have been studied and the films have been applied to a storage electrode for stacked dynamic random access memory capacitors. The surface morphology of the films was drastically affected by growth conditions and by the film thickness. However, the rugged surface poly‐Si was characterized by the growth of grains that have 〈311〉 preferred orientation. The grains had grown on the amorphous Si surface just after deposition in the low‐pressure chemical vapor deposition furnace. It was observed that the amorphous Si films deposited at the transition temperature between amorphous and polycrystalline Si have small crystalline particles in the films. We surmise that the nucleation sites of rugged surface poly‐Si grains are small crystalline particles in amorphous Si near the surface, because the amorphous Si films deposited at high SiH4pressure and low temperature (less than 540 °C) that have no crystalline particles in the film show no grain growth of rugged surface poly‐Si. Stacked capacitors were fabricated using rugged surface poly‐Si films and thin silicon nitride dielectric films. It was found that the thin poly‐Si film electrode about 100 nm thick deposited at 570 °C shows 2.5 times more surface area than that of the conventional poly‐Si film electrode. A rugged surface poly‐Si electrode deposited under optimized growth conditions is promising for high density dynamic random access memory with stacked capacitor cells.
ISSN:0734-211X
DOI:10.1116/1.588709
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
30. |
Reliability of ultimate ultrathin silicon oxide films produced by the continuous ultradry process |
|
Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,
Volume 14,
Issue 2,
1996,
Page 757-762
Hiroshi Yamada,
Preview
|
PDF (120KB)
|
|
摘要:
Time‐dependent dielectric breakdown lifetime of 3.0–3.5‐nm‐thick silicon oxide films was investigated using metal–oxide semiconductors (MOSs) produced by our recently proposed continuous ultradry process. This process prepares an ideal MOS gate oxide with few hydrogen‐related defects and precise thickness distribution that drastically affect the lifetime of such ultimate ultrathin oxide films. The lifetime of 3.0‐nm‐thick oxide films was almost equivalent to that of 3.5‐nm‐thick films, although their lifetime was apparently different from the lifetime of 5.0‐nm‐thick films. Therefore, the stress‐induced charge trapping mechanism in such ultimate ultrathin films that mainly determines the lifetime is probably identifiable. This lifetime saturation also suggests that their lifetime may be close to the intrinsic maximum limitation for ultrathin silicon oxide films.
ISSN:0734-211X
DOI:10.1116/1.588710
出版商:American Vacuum Society
年代:1996
数据来源: AIP
|
|