Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1992
当前卷期:Volume 10  issue 5     [ 查看所有卷期 ]

年代:1992
 
     Volume 10  issue 1   
     Volume 10  issue 2   
     Volume 10  issue 3   
     Volume 10  issue 4   
     Volume 10  issue 5
     Volume 10  issue 6   
11. Insitupassivation of GaAs after BCl3/Cl2reactive ion etching
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2197-2200

Euijoon Yoon,   Richard A. Gottscho,   Vincent M. Donnelly,   William S. Hobson,  

Preview   |   PDF (387KB)

12. Formation of SiO2/GaAs(100) interfaces by electron stimulated oxidation of ultrathin Si overlayers: Subcutaneous oxidation processes
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2201-2210

F. J. Palomares,   M. Alonso,   F. Soria,  

Preview   |   PDF (1248KB)

13. Oxidation of silicon in an oxygen plasma generated by a multipolar electron cyclotron resonance source
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2211-2216

K. T. Sung,   S. W. Pang,  

Preview   |   PDF (669KB)

14. Enhanced etching of Si(100) by neutral chlorine beams with kinetic energies up to 6 eV
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2217-2221

Francis X. Campos,   Gabriela C. Weaver,   Curtis J. Waltman,   Stephen R. Leone,  

Preview   |   PDF (577KB)

15. Oxygen magnetically enhanced reactive ion etching of silylated resist patterns
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2222-2229

Han J. Dijkstra,  

Preview   |   PDF (913KB)

16. Latent image diffraction from submicron photoresist gratings
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2230-2233

Euijoon Yoon,   Christian A. Green,   Richard A. Gottscho,   Todd R. Hayes,   Konstantinos P. Giapis,  

Preview   |   PDF (417KB)

17. Diffraction effects in x‐ray proximity printing
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2234-2242

A. D. Dubner,   A. Wagner,   J. P. Levin,   J. Mauer,  

Preview   |   PDF (1175KB)

18. Fabrication of ultrahigh quality vertical facets in GaAs using pattern corrected electron beam lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2243-2250

Mats Hagberg,   Björn Jonsson,   Anders Larsson,  

Preview   |   PDF (1154KB)

19. 20 nm linewidth platinum pattern fabrication using conformal effusive‐source molecular precursor deposition and sidewall lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2251-2258

David S. Y. Hsu,   N. H. Turner,   K. W. Pierson,   V. A. Shamamian,  

Preview   |   PDF (977KB)

20. Use of diffracted light from latent images to improve lithography control
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  5,   1992,   Page  2259-2266

K. C. Hickman,   S. M. Gaspar,   K. P. Bishop,   S. S. H. Naqvi,   J. R. McNeil,   G. D. Tipton,   B. R. Stallard,   B. L. Draper,  

Preview   |   PDF (867KB)

首页 上一页 下一页 尾页 第2页 共32条