Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1997
当前卷期:Volume 15  issue 3     [ 查看所有卷期 ]

年代:1997
 
     Volume 15  issue 1   
     Volume 15  issue 2   
     Volume 15  issue 3
     Volume 15  issue 4   
     Volume 15  issue 5   
     Volume 15  issue 6   
11. Scanning tunneling microscopy imaging and manipulation of DNA oligomer adsorbed on Cu(111) surfaces by a pulse injection method
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  602-604

Hiroyuki Tanaka,   Tomoji Kawai,  

Preview   |   PDF (337KB)

12. Surface morphologies associated with thermal desorption: Scanning tunneling microscopy studies of Br–GaAs(110)
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  605-609

C. Y. Cha,   J. Brake,   B. Y. Han,   D. W. Owens,   J. H. Weaver,  

Preview   |   PDF (833KB)

13. Precision transmission electron microscopy sample preparation using a focused ion beam by extraction method
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  610-613

T. T. Sheng,   G. P. Goh,   C. H. Tung,   L. F. Wang,  

Preview   |   PDF (710KB)

14. I–Vcharacteristics of modified silicon surface using scanning probe microscopy
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  614-617

Takao Yasue,   Hiroshi Koyama,   Tadao Kato,   Tadashi Nishioka,  

Preview   |   PDF (126KB)

15. Electrical evaluation of process-damaged layers usingp-njunctions
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  618-622

M. Yuda,   A. Kozen,  

Preview   |   PDF (100KB)

16. Deep level transient spectroscopy study of the damage induced inn-type silicon by a gate oxide etching in aCHF3/Arplasma
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  623-628

G. Adegboyega,   I. Perez-Quintana,   A. Poggi,   E. Susi,   M. Merli,  

Preview   |   PDF (943KB)

17. Analyses of the chemical topography of silicon dioxide contact holes etched in a high density plasma source
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  629-639

O. Joubert,   P. Czuprynski,   F. H. Bell,   P. Berruyer,   R. Blanc,  

Preview   |   PDF (411KB)

18. Highly anisotropic silicon reactive ion etching for nanofabrication using mixtures ofSF6/CHF3gases
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  640-645

S. Grigoropoulos,   E. Gogolides,   A. D. Tserepi,   A. G. Nassiopoulos,  

Preview   |   PDF (482KB)

19. Polycide gate etching using a helical resonator on an applied materials precision 5000 platform
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  646-651

C. P. Chang,   F. P. Klemens,   H. L. Maynard,   T. C. Lee,   A. Kornblit,   D. E. Ibbotson,  

Preview   |   PDF (216KB)

20. IC1 plasma etching of III–V semiconductors
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  652-656

J. W. Lee,   J. Hong,   E. S. Lambers,   S. J. Pearton,  

Preview   |   PDF (410KB)

首页 上一页 下一页 尾页 第2页 共38条