Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1992
当前卷期:Volume 10  issue 6     [ 查看所有卷期 ]

年代:1992
 
     Volume 10  issue 1   
     Volume 10  issue 2   
     Volume 10  issue 3   
     Volume 10  issue 4   
     Volume 10  issue 5   
     Volume 10  issue 6
21. Antireflective MoSi photomasks
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2480-2485

Akira Chiba,   Shuichi Matsuda,   Yaichiro Watakabe,  

Preview   |   PDF (490KB)

22. Fabrication of overpass microstructures in GaAs using isotropic reactive ion etching
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2486-2487

Katerina Y. Hur,   Richard C. Compton,  

Preview   |   PDF (227KB)

23. New electrostatic micromanipulator which dislodges adhered dust particles in vacuum
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2491-2492

Hiroshi Saeki,   Takayasu Tanaka,   Toshio Fukuda,   Ken’ichi Kudou,   Toshiro Higuchi,   Hajime Ishimaru,  

Preview   |   PDF (187KB)

24. Erratum: ‘‘GaSb‐oxide removal and surface passivation using an electron cyclotron resonance hydrogen source’’ [J. Vac. Sci. Technol. B10, 1856 (1992)]
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2496-2496

Z. Lu,   Y. Jiang,   W. I. Wang,   M. C. Teich,   R. M. Osgood,  

Preview   |   PDF (23KB)

25. Prospects for x‐ray lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2511-2515

D. Fleming,   J. R. Maldonado,   M. Neisser,  

Preview   |   PDF (533KB)

26. Phase holograms in polymethyl methacrylate
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2516-2519

P. D. Maker,   R. E. Muller,  

Preview   |   PDF (480KB)

27. Deep three‐dimensional microstructure fabrication for infrared binary optics
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2520-2525

M. B. Stern,   S. S. Medeiros,  

Preview   |   PDF (662KB)

28. Electron beam writing of continuous resist profiles for optical applications
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2526-2529

J. M. Stauffer,   Y. Oppliger,   P. Regnault,   L. Baraldi,   M. T. Gale,  

Preview   |   PDF (444KB)

29. Characterization of near‐field holography grating masks for optoelectronics fabricated by electron beam lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2530-2535

D. M. Tennant,   T. L. Koch,   P. P. Mulgrew,   R. P. Gnall,   F. Ostermeyer,   J‐M. Verdiell,  

Preview   |   PDF (735KB)

30. Characteristics of an improved chemically amplified deep‐ultraviolet positive resist
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2536-2541

Omkaram Nalamasu,   Janet Kometani,   May Cheng,   Allen G. Timko,   Elsa Reichmanis,   Sydney Slater,   Andrew Blakeney,  

Preview   |   PDF (715KB)

首页 上一页 下一页 尾页 第3页 共174条