Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1983
当前卷期:Volume 1  issue 4     [ 查看所有卷期 ]

年代:1983
 
     Volume 1  issue 1   
     Volume 1  issue 2   
     Volume 1  issue 3   
     Volume 1  issue 4
21. Large area ion beam assisted etching of GaAs with high etch rates and controlled anisotropy
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1043-1046

G. A. Lincoln,   M. W. Geis,   S. Pang,   N. N. Efremow,  

Preview   |   PDF (552KB)

22. Maskless etching of GaAs and InP using a scanning microplasma
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1047-1049

Yukinori Ochiai,   Kenji Gamo,   Susumu Namba,  

Preview   |   PDF (425KB)

23. Reactive ion etching of GaAs using CCl2F2and the effect of Ar addition
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1050-1052

J. Chaplart,   B. Fay,   Nuyen T. Linh,  

Preview   |   PDF (279KB)

24. Reactive ion etching of GaAs and InP using SiCl4
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1053-1055

M. B. Stern,   P. F. Liao,  

Preview   |   PDF (363KB)

25. Focused ion beam microlithography using an etch‐stop process in gallium‐doped silicon
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1056-1058

P. H. La Marche,   R. Levi‐Setti,   Y. L. Wang,  

Preview   |   PDF (407KB)

26. High resolution patterning of silicon by selective gallium doping
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1059-1061

I. L. Berry,   A. L. Caviglia,  

Preview   |   PDF (445KB)

27. Deep‐UV photolithographic applications of copolymer (methacrylonitrile–methacrylic acid)
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1062-1065

H. Hiraoka,   W. L. Welsh,   J. Bargon,  

Preview   |   PDF (264KB)

28. Poly(chloromethylstyrene): A high performance x‐ray resist
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1066-1071

Hsia S. Choong,   Frederic J. Kahn,  

Preview   |   PDF (629KB)

29. Conventional novolak resists for storage ring x‐ray lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1072-1075

J. G. Lane,   J. R. Maldonado,   A. N. Cleland,   R. P. Haelbich,   J. P. Silverman,   J. M. Warlaumont,  

Preview   |   PDF (261KB)

30. Direct engraving on positive resists by synchrotron radiation
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  1,   Issue  4,   1983,   Page  1076-1079

S. Ichimura,   M. Hirata,   H. Tanino,   N. Atoda,   M. Ono,   K. Hoh,  

Preview   |   PDF (402KB)

首页 上一页 下一页 尾页 第3页 共95条