Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1997
当前卷期:Volume 15  issue 3     [ 查看所有卷期 ]

年代:1997
 
     Volume 15  issue 1   
     Volume 15  issue 2   
     Volume 15  issue 3
     Volume 15  issue 4   
     Volume 15  issue 5   
     Volume 15  issue 6   
31. Material uniformity improvements in a Gen II molecular beam epitaxy system
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  719-723

Stefan P. Svensson,   Frederick J. Towner,   David. M. Gill,  

Preview   |   PDF (153KB)

32. Development-free vapor laser photolithography with 0.4 μm resolution
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  724-728

Xiaoyin Hong,   Jianping Lu,   Yongyuan Yang,   Liming Dai,   Albert W. Mau,  

Preview   |   PDF (164KB)

33. Use of interference lithography to pattern arrays of submicron resist structures for field emission flat panel displays
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  729-735

A. Fernandez,   H. T. Nguyen,   J. A. Britten,   R. D. Boyd,   M. D. Perry,   D. R. Kania,   A. M. Hawryluk,  

Preview   |   PDF (866KB)

34. SiC x-ray lithography mask fabricated by electron cyclotron resonance plasma source coupled with divided microwaves
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  736-740

Masaru Shimada,   Toshiro Ono,   Ikuo Okada,   Seitaro Matsuo,  

Preview   |   PDF (287KB)

35. Development of a low permittivity fluorinated copolymer for interlevel dielectric applications
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  741-745

Leonard J. Buckley,   Arthur W. Snow,   Henry S. Hu,   James Griffith,   Mark Ray,  

Preview   |   PDF (472KB)

36. Low dielectric constant film formation by oxygen-radical polymerization of laser-evaporated siloxane
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  746-749

Toshiaki Fujii,   Tsuneki Yokoi,   Mineo Hiramatsu,   Masahito Nawata,   Masaru Hori,   Toshio Goto,   Shuzo Hattori,  

Preview   |   PDF (63KB)

37. Electromigration behavior of hot-sputtered Al(Cu) versus chemical vapor deposition W vias
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  750-756

R. G. Filippi,   E. N. Levine,   K. P. Rodbell,  

Preview   |   PDF (251KB)

38. On the electrical deactivation of arsenic in silicon
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  15,   Issue  3,   1997,   Page  757-759

U. Myler,   P. J. Simpson,   D. W. Lawther,   P. M. Rousseau,  

Preview   |   PDF (60KB)

首页 上一页 下一页 尾页 第4页 共38条