Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena


ISSN: 1071-1023        年代:1992
当前卷期:Volume 10  issue 6     [ 查看所有卷期 ]

年代:1992
 
     Volume 10  issue 1   
     Volume 10  issue 2   
     Volume 10  issue 3   
     Volume 10  issue 4   
     Volume 10  issue 5   
     Volume 10  issue 6
31. Resist etching kinetics and pattern transfer in a helicon plasma
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2542-2547

C. W. Jurgensen,   R. S. Hutton,   G. N. Taylor,  

Preview   |   PDF (645KB)

32. Process optimization of 200 nm wide trenches in SiO2using a chemically amplified acid catalyzed e‐beam resist
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2548-2553

Waldemar W. Kocon,   Y. Shacham‐Diamand,   Jean M. J. Frechet,   James Fahey,  

Preview   |   PDF (556KB)

33. Wet‐developed bilayer resists for 193‐nm excimer laser lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2554-2559

R. R. Kunz,   M. W. Horn,   P. A. Bianconi,   D. A. Smith,   J. R. Eshelman,  

Preview   |   PDF (676KB)

34. Defect studies on single and bilayer resist systems
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2560-2564

K. Paul Muller,   Harbans S. Sachdev,  

Preview   |   PDF (535KB)

35. Practical cage‐effect model for crosslinking in a negative chemically amplified resist and its use in comparing e‐beam and optical exposure
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2565-2569

N. N. Tam,   R. A. Ferguson,   A. R. Neureuther,  

Preview   |   PDF (523KB)

36. Negativei‐line photoresist for 0.5 μm and beyond
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2570-2575

Willard Conley,   Jeffery Gelorme,  

Preview   |   PDF (625KB)

37. 0.35 μm rule device pattern fabrication using high absorption‐type novolac photoresist in single layer deep ultraviolet lithography: Surface image transfer for contact hole fabrication
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2576-2580

Y. Tomo,   T. Kasuga,   M. Saito,   A. Someya,   T. Tsumori,  

Preview   |   PDF (516KB)

38. New model of polymer silylation: Application to lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2581-2588

C. Pierrat,  

Preview   |   PDF (818KB)

39. Fabrication of submicron conducting and chemically functionalized structures from poly(3‐octylthiophene) by an electron beam
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2589-2592

Sui Xiong Cai,   Manoj Kanskar,   J. C. Nabity,   John F. W. Keana,   M. N. Wybourne,  

Preview   |   PDF (452KB)

40. Characterization of chemically amplified resists for soft x‐ray projection lithography
  Journal of Vacuum Science&Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena,   Volume  10,   Issue  6,   1992,   Page  2593-2599

Glenn D. Kubiak,   Eric M. Kneedler,   Robert Q. Hwang,   Michelle T. Schulberg,   Kurt W. Berger,   J. E. Bjorkholm,   W. M. Mansfield,  

Preview   |   PDF (854KB)

首页 上一页 下一页 尾页 第4页 共174条