Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena


ISSN: 0734-211X        年代:1994
当前卷期:Volume 12  issue 1     [ 查看所有卷期 ]

年代:1994
 
     Volume 12  issue 1
     Volume 12  issue 2   
     Volume 12  issue 3   
     Volume 12  issue 4   
     Volume 12  issue 5   
     Volume 12  issue 6   
1. Scanning tunneling microscopy morphological study of the first stages of growth of microwave chemical vapor deposited thin diamond films
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  1-7

L. Vázquez,   O. Sánchez,   J . M. Albella,  

Preview   |   PDF (752KB)

2. Fabrication of a nanoscale, in‐plane gated quantum wire by low energy ion exposure
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  8-13

C. C. Andrews,   G. F. Spencer,   F. Li,   M. H. Weichold,   W. P. Kirk,  

Preview   |   PDF (526KB)

3. Discretization of curved lines and arbitrary areas for ion and electron beam writing on a nonrectangular grid
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  14-19

D. K. de Vries,   A. D. Wieck,   K. Ploog,  

Preview   |   PDF (632KB)

4. Fabrication of aspheric high numerical aperture reflective diffractive optic elements using electron beam lithography
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  20-25

D. Mikolas,   R. Bojko,   H. G. Craighead,   F. Haas,   D. A. Honey,   H. F. Bare,  

Preview   |   PDF (635KB)

5. Realization of limited‐area cathodes and their performance in an electron optical column
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  26-31

C. E. Maloney,   H. Nakamura,   A. N. Broers,   S. Xia,   L. Peters,  

Preview   |   PDF (565KB)

6. Thin film materials for the preparation of attenuating phase shift masks
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  32-36

K. K. Shih,   D. B. Dove,  

Preview   |   PDF (333KB)

7. New chemically amplified positive resist for electron beam lithography
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  37-43

Kazuhiko Hashimoto,   Akiko Katsuyama,   Masayuki Endo,   Masaru Sasago,  

Preview   |   PDF (575KB)

8. Ag2Te/As2S3, a top‐surface, high‐contrast negative‐tone resist for deep ultraviolet submicron lithography
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  44-47

S. A. Dumford,   J. M. Lavine,  

Preview   |   PDF (311KB)

9. Polycrystalline silicon ‘‘slit nanowire’’ for possible quantum devices
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  48-53

Yasuo Wada,   Tokuo Kure,   Toshiyuki Yoshimura,   Yoshimi Sudou,   Takashi Kobayashi,   Yasushi Gotou,   Seiichi Kondo,  

Preview   |   PDF (617KB)

10. Shallow trench isolation for ultra‐large‐scale integrated devices
  Journal of Vacuum Science&Technology B: Microelectronics Processing and Phenomena,   Volume  12,   Issue  1,   1994,   Page  54-58

K. Blumenstock,   J. Theisen,   P. Pan,   J. Dulak,   A. Ticknor,   T. Sandwick,  

Preview   |   PDF (492KB)

首页 上一页 下一页 尾页 第1页 共82条